当前位置:首页 > 科技  > 芯片

IC设计思维:增加复用性的6种方法

来源: 责编: 时间:2023-09-11 22:35:12 213观看
导读 很多芯片在设计之初,就已经考虑如何增加代码的复用性,尽量减少工作量,降低错误概率。增加复用性的几个场景:不同项目之间的代码复用性不同工艺之间的代码复用性同一个模块例化多份,分别工作在不同模式下同一个项目不同环
很多芯片在设计之初,就已经考虑如何增加代码的复用性,尽量减少工作量,降低错误概率。
增加复用性的几个场景:
    • 不同项目之间的代码复用性
    • 不同工艺之间的代码复用性
    • 同一个模块例化多份,分别工作在不同模式下
    • 同一个项目不同环境(RTL验证,FPGA demo 验证)之间的代码复用性
本章节将简单谈谈几种增加代码复用性的方法。

1、基础模块IP化vDc28资讯网——每日最新资讯28at.com

在各家ASIC/FPGA项目的代码目录中,我们经常能看到各类小的基础模块,例如各类跨时钟模块、各类调度仲裁模块、各类RAM读写模块、各类总线接口模块等等。它们可能命名为share_ip_*或base_ip_*或cmn_ip_*,又或者其他名称,几乎每个设计者都需要用到这些基础模块。
我们在需要实现其相关功能时,只需要例化模块即可,简单高效,有降低了bug概率。

2、模块参数化vDc28资讯网——每日最新资讯28at.com

在进行模块设计时,我们需要尽量保证模块参数化,尽量避免使用立即数(例如10’d0)。
被参数化的信号可能是数据位宽,用户数量或者其他类型的信号。

module ip_bus_sync #( // parameter DATA_WDTH = 16 , // parameter INI_VALUE = {DATA_WDTH{1'b0}} //)( input i_src_clk , // input i_src_rst_n , // input [DATA_WDTH-1:0] i_src_din , // input i_dst_clk , // input i_dst_rst_n , // output reg [DATA_WDTH-1:0] o_dst_dout //
);

//逻辑代码
endmodule

3、宏定义区分代码分支vDc28资讯网——每日最新资讯28at.com

ASIC项目的代码一般至少有两个版本:ASIC版本和验证版本(FPGA/加速器)。部分公司还会同时出两个系列的芯片,ASIC系列和FPGA系列。因此我们通常需要通过宏定义区分不同的代码分支和参数
`ifdef FOR_ASIC_DESIGN //ASIC logic //参数定义`else //其他分支逻辑 //参数定义`endif
`ifdef FOR_ASIC_DESIGN

generategenvar i;
for ( i=0; i<DATA_WDTH; i=i+1 )begin : SDFFY2D_INST
SDFFY_*CELL_TYPE* SDFFY2D //此处CELL_TYPE指的是具体的器件型号( .CK (i_dst_clk),.D (i_src_din[i] ),.Q (o_dst_dout[i]),.SI (1'b0 ), //DFT 输入信号,由DFT工程师在网表中完成连线.SE (1'b0 ) //DFT 使能信号,由DFT工程师在网表中完成连线);
endendgenerate
`else
reg [(DATA_WDTH-1):0] din_d0; //reg [(DATA_WDTH-1):0] din_d1; //
assign o_dst_dout = din_d1;
always @( posedge i_dst_clk ) begindin_d0 <= i_src_din;din_d1 <= din_d0;end
`endif

4、使用参数选择代码分支vDc28资讯网——每日最新资讯28at.com

在同一个宏定义分支下(例如同在ASIC或者FPGA项目),我们可能需要奖模块例化多份,以支持如下场景:vDc28资讯网——每日最新资讯28at.com

Case1:同一个模块,工作在同的模式下,为了节约资源,只需要保留对应模式的代码逻辑。
Case2:同一个模块,其支持的功能数量不同,所需要的RAM等资源也不相同,需要例化不同规格的RAM。
module xxxxx#( parameter FUNCTION_MODE = `MULTI_FUNCTION )( //各类IO信号) ;

generate
if (FUNCTION_MODE==`MULTI_FUNCTION) begin:MULTI_FUNCTION_CODE
//多function 逻辑
end
else begin:SINGLE_FUNCTION_CODE
// 单function 逻辑
end
endendgenerate

5、IP接口隔离vDc28资讯网——每日最新资讯28at.com

不同Vendor的IP的用户侧接口大概率是不一样的,为了避免因为IP替换导致的大量代码修改,可以在设计之处就考虑将IP接口隔离。例如通过2类接口转换模块(控制类和数据类),将所需要的控制信号和数据流信号转换成通用的内部信号,这样无论怎么替换IP,都只需要修改少量代码。

6、std cell 隔离vDc28资讯网——每日最新资讯28at.com

我们通常会将std cell外包一层或者多层代码,这样就能将工艺与设计代码尽量分离。vDc28资讯网——每日最新资讯28at.com

常见的有bit同步器,ram,clk-gating,clk mux等模块。如下是单bit同步器的案例。
module  ip_bit_sync #( parameter      DATA_WDTH = 1    // bit width)(input  wire                     i_dst_clk,   // destination clockinput  wire [(DATA_WDTH-1):0]   i_src_din,   // data inputoutput wire [(DATA_WDTH-1):0]   o_dst_dout   // data output);`ifdef FOR_ASIC_DESIGNgenerategenvar  i;for ( i=0; i<DATA_WDTH; i=i+1 )begin : SDFFY2D_INSTSDFFY_*CELL_TYPE*  SDFFY2D   //此处CELL_TYPE指的是具体的器件型号(    .CK   (i_dst_clk),.D    (i_src_din[i] ),.Q    (o_dst_dout[i]),.SI   (1'b0     ),   //DFT 输入信号,由DFT工程师在网表中完成连线.SE   (1'b0     )    //DFT 使能信号,由DFT工程师在网表中完成连线);endendgenerate`elsereg  [(DATA_WDTH-1):0]   din_d0;       //reg  [(DATA_WDTH-1):0]   din_d1;       //assign o_dst_dout = din_d1;always @( posedge i_dst_clk ) begindin_d0  <= i_src_din;din_d1  <= din_d0;end`endifendmodule


这个技术研讨会不要错过!vDc28资讯网——每日最新资讯28at.com

时间:2023年9月26日 9:00~18:00vDc28资讯网——每日最新资讯28at.com

地点:深圳湾万怡酒店4楼大宴会厅vDc28资讯网——每日最新资讯28at.com

(免费参会、免费午餐、参会赢好礼)vDc28资讯网——每日最新资讯28at.com

vDc28资讯网——每日最新资讯28at.com


vDc28资讯网——每日最新资讯28at.com

icspec【芯片求购】https://www.icspec.com/inquiry/index/1/0

本文链接:http://www.28at.com/showinfo-27-9402-0.htmlIC设计思维:增加复用性的6种方法

声明:本网页内容旨在传播知识,若有侵权等问题请及时与本网联系,我们将在第一时间删除处理。邮件:2376512515@qq.com

上一篇: 纤薄高颜值,商旅轻出行,瑞亨65W超薄氮化镓充电器评测

下一篇: 用于太空应用的抗辐射电路设计与优化

标签:
  • 热门焦点
  • Redmi Pad评测:红米充满野心的一次尝试

    Redmi Pad评测:红米充满野心的一次尝试

    从Note系列到K系列,从蓝牙耳机到笔记本电脑,红米不知不觉之间也已经形成了自己颇有竞争力的产品体系,在中端和次旗舰市场上甚至要比小米新机的表现来得更好,正所谓“大丈夫生居
  • 一加首款折叠屏!一加Open渲染图出炉:罕见单手可握小尺寸

    一加首款折叠屏!一加Open渲染图出炉:罕见单手可握小尺寸

    8月5日消息,此前就有爆料称,一加首款折叠屏手机将会在第三季度上市,如今随着时间临近,新机的各种消息也开始浮出水面。据悉,这款新机将会被命名为&ldquo;On
  • 一文看懂为苹果Vision Pro开发应用程序

    一文看懂为苹果Vision Pro开发应用程序

    译者 | 布加迪审校 | 重楼苹果的Vision Pro是一款混合现实(MR)头戴设备。Vision Pro结合了虚拟现实(VR)和增强现实(AR)的沉浸感。其高分辨率显示屏、先进的传感器和强大的处理能力
  • 十个简单但很有用的Python装饰器

    十个简单但很有用的Python装饰器

    装饰器(Decorators)是Python中一种强大而灵活的功能,用于修改或增强函数或类的行为。装饰器本质上是一个函数,它接受另一个函数或类作为参数,并返回一个新的函数或类。它们通常用
  • 腾讯VS网易,最卷游戏暑期档,谁能笑到最后?

    腾讯VS网易,最卷游戏暑期档,谁能笑到最后?

    作者:无锈钵来源:财经无忌7月16日晚,上海1862时尚艺术中心。伴随着幻象的精准命中,硕大的荧幕之上,比分被定格在了14:12,被寄予厚望的EDG战队以绝对的优势战胜了BLG战队,拿下了总决
  • 大厂卷向扁平化

    大厂卷向扁平化

    来源:新熵作者丨南枝 编辑丨月见大厂职级不香了。俗话说,兵无常势,水无常形,互联网企业调整职级体系并不稀奇。7月13日,淘宝天猫集团启动了近年来最大的人力制度改革,目前已形成一
  • 三星获批量产iPhone 15全系屏幕:苹果史上最惊艳直屏

    三星获批量产iPhone 15全系屏幕:苹果史上最惊艳直屏

    按照惯例,苹果将继续在今年9月举办一年一度的秋季新品发布会,有传言称发布会将于9月12日举行,届时全新的iPhone 15系列将正式与大家见面,不出意外的话
  • 三星Galaxy Z Fold5官方渲染图曝光:13.4mm折叠厚度依旧感人

    三星Galaxy Z Fold5官方渲染图曝光:13.4mm折叠厚度依旧感人

    据官方此前宣布,三星将于7月26日在韩国首尔举办Unpacked活动,届时将带来带来包括Galaxy Buds 3、Galaxy Watch 6、Galaxy Tab S9、Galaxy Z Flip 5、
  • Windows 11发布,微软一改往常对老机型开放的态度

    Windows 11发布,微软一改往常对老机型开放的态度

    距离 Windows 11 发布已经过去一周,在过去一周里,很多数码爱好者围绕其对 Android 应用的支持、对老机型的升级问题展开了激烈讨论。与以往不同的是,在这次大
Top